@comment $NetBSD: PLIST,v 1.1 2024/03/02 02:03:37 thorpej Exp $ bin/yosys bin/yosys-abc bin/yosys-config bin/yosys-filterlib bin/yosys-smtbmc bin/yosys-witness share/yosys/abc9_map.v share/yosys/abc9_model.v share/yosys/abc9_unmap.v share/yosys/achronix/speedster22i/cells_map.v share/yosys/achronix/speedster22i/cells_sim.v share/yosys/adff2dff.v share/yosys/anlogic/arith_map.v share/yosys/anlogic/brams.txt share/yosys/anlogic/brams_map.v share/yosys/anlogic/cells_map.v share/yosys/anlogic/cells_sim.v share/yosys/anlogic/eagle_bb.v share/yosys/anlogic/lutrams.txt share/yosys/anlogic/lutrams_map.v share/yosys/cells.lib share/yosys/cmp2lcu.v share/yosys/cmp2lut.v share/yosys/cmp2softlogic.v share/yosys/coolrunner2/cells_counter_map.v share/yosys/coolrunner2/cells_latch.v share/yosys/coolrunner2/cells_sim.v share/yosys/coolrunner2/tff_extract.v share/yosys/coolrunner2/xc2_dff.lib share/yosys/dff2ff.v share/yosys/ecp5/arith_map.v share/yosys/ecp5/brams.txt share/yosys/ecp5/brams_map.v share/yosys/ecp5/cells_bb.v share/yosys/ecp5/cells_ff.vh share/yosys/ecp5/cells_io.vh share/yosys/ecp5/cells_map.v share/yosys/ecp5/cells_sim.v share/yosys/ecp5/dsp_map.v share/yosys/ecp5/latches_map.v share/yosys/ecp5/lutrams.txt share/yosys/ecp5/lutrams_map.v share/yosys/efinix/arith_map.v share/yosys/efinix/brams.txt share/yosys/efinix/brams_map.v share/yosys/efinix/cells_map.v share/yosys/efinix/cells_sim.v share/yosys/efinix/gbuf_map.v share/yosys/fabulous/arith_map.v share/yosys/fabulous/cells_map.v share/yosys/fabulous/ff_map.v share/yosys/fabulous/io_map.v share/yosys/fabulous/latches_map.v share/yosys/fabulous/prims.v share/yosys/fabulous/ram_regfile.txt share/yosys/fabulous/regfile_map.v share/yosys/gate2lut.v share/yosys/gatemate/arith_map.v share/yosys/gatemate/brams.txt share/yosys/gatemate/brams_init_20.vh share/yosys/gatemate/brams_init_40.vh share/yosys/gatemate/brams_map.v share/yosys/gatemate/cells_bb.v share/yosys/gatemate/cells_sim.v share/yosys/gatemate/inv_map.v share/yosys/gatemate/lut_map.v share/yosys/gatemate/lut_tree_cells.genlib share/yosys/gatemate/lut_tree_map.v share/yosys/gatemate/mul_map.v share/yosys/gatemate/mux_map.v share/yosys/gatemate/reg_map.v share/yosys/gowin/arith_map.v share/yosys/gowin/brams.txt share/yosys/gowin/brams_map.v share/yosys/gowin/cells_map.v share/yosys/gowin/cells_sim.v share/yosys/gowin/cells_xtra.v share/yosys/gowin/lutrams.txt share/yosys/gowin/lutrams_map.v share/yosys/greenpak4/cells_blackbox.v share/yosys/greenpak4/cells_latch.v share/yosys/greenpak4/cells_map.v share/yosys/greenpak4/cells_sim.v share/yosys/greenpak4/cells_sim_ams.v share/yosys/greenpak4/cells_sim_digital.v share/yosys/greenpak4/cells_sim_wip.v share/yosys/greenpak4/gp_dff.lib share/yosys/ice40/abc9_model.v share/yosys/ice40/arith_map.v share/yosys/ice40/brams.txt share/yosys/ice40/brams_map.v share/yosys/ice40/cells_map.v share/yosys/ice40/cells_sim.v share/yosys/ice40/dsp_map.v share/yosys/ice40/ff_map.v share/yosys/ice40/latches_map.v share/yosys/ice40/spram.txt share/yosys/ice40/spram_map.v share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/yosys/include/backends/rtlil/rtlil_backend.h share/yosys/include/frontends/ast/ast.h share/yosys/include/frontends/ast/ast_binding.h share/yosys/include/frontends/blif/blifparse.h share/yosys/include/kernel/binding.h share/yosys/include/kernel/cellaigs.h share/yosys/include/kernel/celledges.h share/yosys/include/kernel/celltypes.h share/yosys/include/kernel/consteval.h share/yosys/include/kernel/constids.inc share/yosys/include/kernel/cost.h share/yosys/include/kernel/ff.h share/yosys/include/kernel/ffinit.h share/yosys/include/kernel/ffmerge.h share/yosys/include/kernel/fmt.h share/yosys/include/kernel/fstdata.h share/yosys/include/kernel/hashlib.h share/yosys/include/kernel/json.h share/yosys/include/kernel/log.h share/yosys/include/kernel/macc.h share/yosys/include/kernel/mem.h share/yosys/include/kernel/modtools.h share/yosys/include/kernel/qcsat.h share/yosys/include/kernel/register.h share/yosys/include/kernel/rtlil.h share/yosys/include/kernel/satgen.h share/yosys/include/kernel/sigtools.h share/yosys/include/kernel/timinginfo.h share/yosys/include/kernel/utils.h share/yosys/include/kernel/yosys.h share/yosys/include/kernel/yw.h share/yosys/include/libs/ezsat/ezminisat.h share/yosys/include/libs/ezsat/ezsat.h share/yosys/include/libs/fst/fstapi.h share/yosys/include/libs/json11/json11.hpp share/yosys/include/libs/sha1/sha1.h share/yosys/include/passes/fsm/fsmdata.h share/yosys/intel/common/altpll_bb.v share/yosys/intel/common/brams_m9k.txt share/yosys/intel/common/brams_map_m9k.v share/yosys/intel/common/ff_map.v share/yosys/intel/common/m9k_bb.v share/yosys/intel/cyclone10lp/cells_map.v share/yosys/intel/cyclone10lp/cells_sim.v share/yosys/intel/cycloneiv/cells_map.v share/yosys/intel/cycloneiv/cells_sim.v share/yosys/intel/cycloneive/cells_map.v share/yosys/intel/cycloneive/cells_sim.v share/yosys/intel/max10/cells_map.v share/yosys/intel/max10/cells_sim.v share/yosys/intel_alm/common/abc9_map.v share/yosys/intel_alm/common/abc9_model.v share/yosys/intel_alm/common/abc9_unmap.v share/yosys/intel_alm/common/alm_map.v share/yosys/intel_alm/common/alm_sim.v share/yosys/intel_alm/common/arith_alm_map.v share/yosys/intel_alm/common/bram_m10k.txt share/yosys/intel_alm/common/bram_m10k_map.v share/yosys/intel_alm/common/bram_m20k.txt share/yosys/intel_alm/common/bram_m20k_map.v share/yosys/intel_alm/common/dff_map.v share/yosys/intel_alm/common/dff_sim.v share/yosys/intel_alm/common/dsp_map.v share/yosys/intel_alm/common/dsp_sim.v share/yosys/intel_alm/common/lutram_mlab.txt share/yosys/intel_alm/common/megafunction_bb.v share/yosys/intel_alm/common/mem_sim.v share/yosys/intel_alm/common/misc_sim.v share/yosys/intel_alm/common/quartus_rename.v share/yosys/intel_alm/cyclonev/cells_sim.v share/yosys/lattice/arith_map_ccu2c.v share/yosys/lattice/arith_map_ccu2d.v share/yosys/lattice/brams_16kd.txt share/yosys/lattice/brams_8kc.txt share/yosys/lattice/brams_map_16kd.v share/yosys/lattice/brams_map_8kc.v share/yosys/lattice/ccu2c_sim.vh share/yosys/lattice/ccu2d_sim.vh share/yosys/lattice/cells_bb_ecp5.v share/yosys/lattice/cells_bb_xo2.v share/yosys/lattice/cells_bb_xo3.v share/yosys/lattice/cells_bb_xo3d.v share/yosys/lattice/cells_ff.vh share/yosys/lattice/cells_io.vh share/yosys/lattice/cells_map.v share/yosys/lattice/cells_sim_ecp5.v share/yosys/lattice/cells_sim_xo2.v share/yosys/lattice/cells_sim_xo3.v share/yosys/lattice/cells_sim_xo3d.v share/yosys/lattice/common_sim.vh share/yosys/lattice/dsp_map_18x18.v share/yosys/lattice/latches_map.v share/yosys/lattice/lutrams.txt share/yosys/lattice/lutrams_map.v share/yosys/mul2dsp.v share/yosys/nexus/arith_map.v share/yosys/nexus/brams.txt share/yosys/nexus/brams_map.v share/yosys/nexus/cells_map.v share/yosys/nexus/cells_sim.v share/yosys/nexus/cells_xtra.v share/yosys/nexus/dsp_map.v share/yosys/nexus/latches_map.v share/yosys/nexus/lrams.txt share/yosys/nexus/lrams_map.v share/yosys/nexus/lutrams.txt share/yosys/nexus/lutrams_map.v share/yosys/nexus/parse_init.vh share/yosys/pmux2mux.v share/yosys/python3/smtio.py share/yosys/python3/ywio.py share/yosys/quicklogic/common/cells_sim.v share/yosys/quicklogic/pp3/abc9_map.v share/yosys/quicklogic/pp3/abc9_model.v share/yosys/quicklogic/pp3/abc9_unmap.v share/yosys/quicklogic/pp3/cells_map.v share/yosys/quicklogic/pp3/cells_sim.v share/yosys/quicklogic/pp3/ffs_map.v share/yosys/quicklogic/pp3/latches_map.v share/yosys/quicklogic/pp3/lut_map.v share/yosys/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/yosys/quicklogic/qlf_k6n10f/arith_map.v share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v share/yosys/quicklogic/qlf_k6n10f/brams_map.v share/yosys/quicklogic/qlf_k6n10f/brams_sim.v share/yosys/quicklogic/qlf_k6n10f/cells_sim.v share/yosys/quicklogic/qlf_k6n10f/dsp_final_map.v share/yosys/quicklogic/qlf_k6n10f/dsp_map.v share/yosys/quicklogic/qlf_k6n10f/dsp_sim.v share/yosys/quicklogic/qlf_k6n10f/ffs_map.v share/yosys/quicklogic/qlf_k6n10f/libmap_brams.txt share/yosys/quicklogic/qlf_k6n10f/libmap_brams_map.v share/yosys/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/yosys/quicklogic/qlf_k6n10f/ufifo_ctl.v share/yosys/sf2/arith_map.v share/yosys/sf2/cells_map.v share/yosys/sf2/cells_sim.v share/yosys/simcells.v share/yosys/simlib.v share/yosys/smtmap.v share/yosys/techmap.v share/yosys/xilinx/abc9_model.v share/yosys/xilinx/arith_map.v share/yosys/xilinx/brams_defs.vh share/yosys/xilinx/brams_xc2v.txt share/yosys/xilinx/brams_xc2v_map.v share/yosys/xilinx/brams_xc3sda.txt share/yosys/xilinx/brams_xc3sda_map.v share/yosys/xilinx/brams_xc4v.txt share/yosys/xilinx/brams_xc4v_map.v share/yosys/xilinx/brams_xc5v_map.v share/yosys/xilinx/brams_xc6v_map.v share/yosys/xilinx/brams_xcu_map.v share/yosys/xilinx/brams_xcv.txt share/yosys/xilinx/brams_xcv_map.v share/yosys/xilinx/cells_map.v share/yosys/xilinx/cells_sim.v share/yosys/xilinx/cells_xtra.v share/yosys/xilinx/ff_map.v share/yosys/xilinx/lut_map.v share/yosys/xilinx/lutrams_xc5v.txt share/yosys/xilinx/lutrams_xc5v_map.v share/yosys/xilinx/lutrams_xcu.txt share/yosys/xilinx/lutrams_xcv.txt share/yosys/xilinx/lutrams_xcv_map.v share/yosys/xilinx/mux_map.v share/yosys/xilinx/urams.txt share/yosys/xilinx/urams_map.v share/yosys/xilinx/xc3s_mult_map.v share/yosys/xilinx/xc3sda_dsp_map.v share/yosys/xilinx/xc4v_dsp_map.v share/yosys/xilinx/xc5v_dsp_map.v share/yosys/xilinx/xc6s_dsp_map.v share/yosys/xilinx/xc7_dsp_map.v share/yosys/xilinx/xcu_dsp_map.v